用易-小卢 发表于 2016-11-12 18:57:03

Bandwidth-test 带宽测试



Bandwidth-test 带宽测试

带宽测试用于监测远程 MikroTik 路由器的吞吐量(有线或无线),从而去发现网络瓶颈。

协议属性

TCP 测试使用 TCP 协议标准,根据 TCP 算法得出有多少包延迟,被丢弃和其他 TCP 算法特性。关于内部速度设定和状态分 析请查看 TCP 协议。吞吐量的统计是用来计算整个 TCP 数据流的大小。TCP 内部链接的大小和使用没有包含在吞吐量的统 计中。因此当在测算吞吐量时,这个统计并不像 UDP 协议一样可靠。

UDP 测试发送的数据包的数量是接收方当前所收到包的数量的 110%或更多。要得到链接的最大吞吐量,数据包要设置最 大 MTU 为 1500 字节。这并不是 UDP 协议标准所要求的。 通过这样设置,便可以得到近似最大吞吐量。

注:Bandwidth Test 会使用所有可获得的带宽(by default),并做可能冲击网络的使用性。

Bandwidth Test 比较占用资源。如果需要测试路由器的真实吞吐量,你应该运行 bandwidth test 通过所测路由器。这样 做你需要三台路由器相链接:Bandwidth 服务器,测试路由器(Testing Router)和 Bandwidth 客户端:

注:如果用 UDP 协议,那么 Bandwidth Test 所测的数据是 IP header+UDP header+UDP。如果用 TCP 协议,那么Bandwidth Test 所测的数据仅为 TCP 数据。(不包含 TCP 数据报头和 IP 数据报头)。

Server 配置




操作路径: /tool bandwidth-server

属性描述

allocate-udp-ports-from – 分配 UDP 端口

authenticate (yes | no; 默认: yes) – 通信要求验证客户端(通过账号和密码)

enable (yes | no; 默认: no) – 为客户端启用连接

max-sessions – bandwidth-test 最大的客户端连接数

Bandwidth 服务器:


tool bandwidth-server> print enabled: yes
authenticate: yes allocate-udp-ports-from: 2000
max-sessions: 10
tool>



查看会话连接


tool> bandwidth-server session print
# CLIENT PROTOCOL DIRECTION USER
0 35.35.35.1 udp send admin
1 25.25.25.1 udp send admin
2 36.36.36.1 udp send admin

tool>

开启没有客户端的 bandwidth-test 服务器


tool bandwidth-server> set enabled=yes authenticate=no

tool bandwidth-server> print enabled: yes
authenticate: no allocate-udp-ports-from: 2000
max-sessions: 10

tool>

Client 配置



操作路径: /tool bandwidth-test

属性描述

(IP address) - 目标主机 IP 地址

assume-lost-time (时间; 默认: 0s) – 设定如果 Bandwidth Server 无响应多久后丢弃连接

direction (receive / transmit / both; 默认: receive) - 测试方式

do (名称 | string; 默认: "") - 脚本源代码

duration (时间; 默认: 0s) - 测试时长

0s – 测试时间没有被限制

interval (时间: 20ms..5s; 默认: 1s) – 报告间隔时间(秒钟计算)

local-tx-speed (整型; 默认: 0) – 本地发送最大速率(bits per second)

0 – 没有速率限制

local-udp-tx-size (整型: 40..64000) – 本地 UDP 发送最大数据包

password (文本; 默认: "") – 测试的密码

protocol (udp | tcp; 默认: udp) – 使用的网络协议

random-data (yes | no; 默认: no) – 如果随即数据设置为 yes,Bandwidth 测试数据包的有效载荷,将有不可随机 数据流,使连接利用数据压缩,将不会扭曲结果(如果较低性能的 CPU,random-data 应设置为 no)

remote-tx-speed (整型; 默认: 0) – 远端接收测试的最大速率(bits per second) .0 – 没有速率限制

remote-udp-tx-size (整型: 40..64000) – 远端 UDP 发送最大数据包

user (名称; 默认: "") - 远程用户名

在 10.0.0.211 主机上运行 15 秒发送和接收 1000-byte UDP 数据包的带宽测试,用户名为 admin.


tool> bandwidth-test 10.0.0.211 duration=15s direction=both
\... size=1000 protocol=udp user=admin status: done testing

duration: 15s
tx-current: 3.62Mbps tx-10-second-average: 3.87Mbps
tx-total-average: 3.53Mbps rx-current: 3.33Mbps
rx-10-second-average: 3.68Mbps rx-total-average: 3.49Mbps

tool>


页: [1]
查看完整版本: Bandwidth-test 带宽测试